summaryrefslogtreecommitdiff
path: root/lisp/progmodes
diff options
context:
space:
mode:
authorStefan Kangas <stefan@marxist.se>2021-09-27 23:56:55 +0200
committerStefan Kangas <stefan@marxist.se>2021-10-05 02:06:57 +0200
commit0a7bab689c4a113dd295c9db55d8e76a34d5f9e1 (patch)
tree48b28bc4a1a8b261b46dd419a060ddb09fca6274 /lisp/progmodes
parente2861e2d08afd5dba9f676aa1e1d151a4d7dbc8f (diff)
; Minor stylistic fixes found by checkdoc
Diffstat (limited to 'lisp/progmodes')
-rw-r--r--lisp/progmodes/cc-cmds.el13
-rw-r--r--lisp/progmodes/cc-mode.el2
-rw-r--r--lisp/progmodes/cc-vars.el2
-rw-r--r--lisp/progmodes/cperl-mode.el6
-rw-r--r--lisp/progmodes/ebnf-dtd.el2
-rw-r--r--lisp/progmodes/idlw-shell.el5
-rw-r--r--lisp/progmodes/idlwave.el5
-rw-r--r--lisp/progmodes/opascal.el6
-rw-r--r--lisp/progmodes/prolog.el4
-rw-r--r--lisp/progmodes/sh-script.el2
-rw-r--r--lisp/progmodes/sql.el4
-rw-r--r--lisp/progmodes/verilog-mode.el4
-rw-r--r--lisp/progmodes/vhdl-mode.el19
-rw-r--r--lisp/progmodes/xscheme.el2
14 files changed, 37 insertions, 39 deletions
diff --git a/lisp/progmodes/cc-cmds.el b/lisp/progmodes/cc-cmds.el
index d40433a9b0d..a9a52636b78 100644
--- a/lisp/progmodes/cc-cmds.el
+++ b/lisp/progmodes/cc-cmds.el
@@ -64,7 +64,6 @@ point is used to decide where the old indentation is on a lines that
is otherwise empty (ignoring any line continuation backslash), but
that's not done if IGNORE-POINT-POS is non-nil. Returns the amount of
indentation change \(in columns)."
-
(let ((line-cont-backslash (save-excursion
(end-of-line)
(eq (char-before) ?\\)))
@@ -2058,9 +2057,9 @@ the open-parenthesis that starts a defun; see `beginning-of-defun'."
(= arg 0))))
(defun c-defun-name-1 ()
- "Return the name of the current defun, at the current narrowing,
-or nil if there isn't one. \"Defun\" here means a function, or
-other top level construct with a brace block."
+ "Return name of current defun, at current narrowing, or nil if there isn't one.
+\"Defun\" here means a function, or other top level construct
+with a brace block."
(c-save-buffer-state
(beginning-of-defun-function end-of-defun-function
where pos decl0 decl type-pos tag-pos case-fold-search)
@@ -3655,9 +3654,9 @@ continuation backslashes, unless `c-auto-align-backslashes' is nil."
(set-marker here nil))))
(defun c-indent-region (start end &optional quiet)
- "Indent syntactically every line whose first char is between START
-and END inclusive. If the optional argument QUIET is non-nil then no
-syntactic errors are reported, even if `c-report-syntactic-errors' is
+ "Indent syntactically lines whose first char is between START and END inclusive.
+If the optional argument QUIET is non-nil then no syntactic
+errors are reported, even if `c-report-syntactic-errors' is
non-nil."
(save-excursion
(goto-char end)
diff --git a/lisp/progmodes/cc-mode.el b/lisp/progmodes/cc-mode.el
index 8b302414496..c9b7a95df60 100644
--- a/lisp/progmodes/cc-mode.el
+++ b/lisp/progmodes/cc-mode.el
@@ -1402,7 +1402,7 @@ Note that the style variables are always made local to the buffer."
(memq (char-after) c-string-delims))
(c-clear-syn-tab (point)))))
(c-clear-syn-tab (point)))
- (t (c-benign-error "c-remove-string-fences: wrong position")))))
+ (t (c-benign-error "c-remove-string-fences: Wrong position")))))
(defun c-before-change-check-unbalanced-strings (beg end)
;; If BEG or END is inside an unbalanced string, remove the syntax-table
diff --git a/lisp/progmodes/cc-vars.el b/lisp/progmodes/cc-vars.el
index 8869c565737..d843c783ed0 100644
--- a/lisp/progmodes/cc-vars.el
+++ b/lisp/progmodes/cc-vars.el
@@ -1770,7 +1770,7 @@ variables.")
; all XEmacsen.
((null c-macro-names-with-semicolon)
nil)
- (t (error "c-make-macro-with-semi-re: invalid \
+ (t (error "c-make-macro-with-semi-re: Invalid \
c-macro-names-with-semicolon: %s"
c-macro-names-with-semicolon))))))
diff --git a/lisp/progmodes/cperl-mode.el b/lisp/progmodes/cperl-mode.el
index c371a84b9d2..1afeb60ac5f 100644
--- a/lisp/progmodes/cperl-mode.el
+++ b/lisp/progmodes/cperl-mode.el
@@ -507,9 +507,9 @@ Currently used with `cperl-check-syntax' only."
:group 'cperl-help-system)
(defcustom cperl-indent-region-fix-constructs 1
- "Amount of space to insert between `}' and `else' or `elsif'
-in `cperl-indent-region'. Set to nil to leave as is. Values other
-than 1 and nil will probably not work."
+ "Amount of space to insert between `}' and `else' or `elsif'.
+Used by `cperl-indent-region'. Set to nil to leave as is.
+Values other than 1 and nil will probably not work."
:type '(choice (const nil) (const 1))
:group 'cperl-indentation-details)
diff --git a/lisp/progmodes/ebnf-dtd.el b/lisp/progmodes/ebnf-dtd.el
index 9185711848c..d4bfdaa9957 100644
--- a/lisp/progmodes/ebnf-dtd.el
+++ b/lisp/progmodes/ebnf-dtd.el
@@ -62,7 +62,7 @@
;;
;; Document authors are encouraged to avoid "compatibility characters", as
;; defined in section 6.8 of [Unicode] (see also D21 in section 3.6 of
-;; [Unicode3]). The characters defined in the following ranges are also
+;; [Unicode3]). The characters defined in the following ranges are also
;; discouraged. They are either control characters or permanently undefined
;; Unicode characters:
;;
diff --git a/lisp/progmodes/idlw-shell.el b/lisp/progmodes/idlw-shell.el
index fc3d603f066..5a31ad35087 100644
--- a/lisp/progmodes/idlw-shell.el
+++ b/lisp/progmodes/idlw-shell.el
@@ -105,8 +105,9 @@ process buffer."
:type 'regexp)
(defcustom idlwave-shell-process-name "idl"
- "Name to be associated with the IDL process. The buffer for the
-process output is made by surrounding this name with `*'s."
+ "Name to be associated with the IDL process.
+The buffer for the process output is made by surrounding this
+name with `*'s."
:group 'idlwave-shell-general-setup
:type 'string)
diff --git a/lisp/progmodes/idlwave.el b/lisp/progmodes/idlwave.el
index 4224e47d16d..9aaabd8a0e1 100644
--- a/lisp/progmodes/idlwave.el
+++ b/lisp/progmodes/idlwave.el
@@ -245,7 +245,7 @@ would yield:
:type 'boolean)
(defcustom idlwave-indent-parens-nested nil
- "Non-nil means, indent continuation lines with parens by nesting
+ "Non-nil means indent continuation lines with parens by nesting
lines at consecutively deeper levels."
:group 'idlwave-code-formatting
:type 'boolean)
@@ -7286,8 +7286,7 @@ The list is cached in `idlwave-class-info' for faster access."
inherits))
(if (> (cdr cl) 999)
(error
- "Class scan: inheritance depth exceeded. Circular inheritance?")
- ))
+ "Class scan: inheritance depth exceeded. Circular inheritance?")))
(setq all-inherits (nreverse rtn))
(nconc info (list (cons 'all-inherits all-inherits)))
all-inherits))))))
diff --git a/lisp/progmodes/opascal.el b/lisp/progmodes/opascal.el
index e55b09d8fcf..495c77bbd90 100644
--- a/lisp/progmodes/opascal.el
+++ b/lisp/progmodes/opascal.el
@@ -1540,7 +1540,7 @@ If no extension is specified, .pas is assumed. Creates a buffer for the unit."
(defun opascal-find-current-def ()
"Find the definition of the identifier under the current point."
(interactive)
- (error "opascal-find-current-def: not implemented yet"))
+ (error "opascal-find-current-def: Not implemented yet"))
(defun opascal-find-current-xdef ()
"Find the definition of the identifier under the current point, searching
@@ -1548,13 +1548,13 @@ in external units if necessary (as listed in the current unit's use clause).
The set of directories to search for a unit is specified by the global variable
`opascal-search-path'."
(interactive)
- (error "opascal-find-current-xdef: not implemented yet"))
+ (error "opascal-find-current-xdef: Not implemented yet"))
(defun opascal-find-current-body ()
"Find the body of the identifier under the current point, assuming
it is a routine."
(interactive)
- (error "opascal-find-current-body: not implemented yet"))
+ (error "opascal-find-current-body: Not implemented yet"))
(defun opascal-fill-comment ()
"Fill the text of the current comment, according to `fill-column'.
diff --git a/lisp/progmodes/prolog.el b/lisp/progmodes/prolog.el
index 74a023775f8..59004e413eb 100644
--- a/lisp/progmodes/prolog.el
+++ b/lisp/progmodes/prolog.el
@@ -512,7 +512,7 @@ to automatically indent if-then-else constructs."
:type 'boolean)
(defcustom prolog-electric-colon-flag nil
- "Makes `:' electric (inserts `:-' on a new line).
+ "Non-nil means make `:' electric (inserts `:-' on a new line).
If non-nil, pressing `:' at the end of a line that starts in
the first column (i.e., clause heads) inserts ` :-' and newline."
:version "24.1"
@@ -520,7 +520,7 @@ the first column (i.e., clause heads) inserts ` :-' and newline."
:type 'boolean)
(defcustom prolog-electric-dash-flag nil
- "Makes `-' electric (inserts a `-->' on a new line).
+ "Non-nil means make `-' electric (inserts a `-->' on a new line).
If non-nil, pressing `-' at the end of a line that starts in
the first column (i.e., DCG heads) inserts ` -->' and newline."
:version "24.1"
diff --git a/lisp/progmodes/sh-script.el b/lisp/progmodes/sh-script.el
index 3b6774aa14c..0dd9f2b4fa2 100644
--- a/lisp/progmodes/sh-script.el
+++ b/lisp/progmodes/sh-script.el
@@ -2522,7 +2522,7 @@ overwritten if
sh-styles-alist nil t)))
(let ((sl (assoc name sh-styles-alist)))
(if (null sl)
- (error "sh-load-style - style %s not known" name)
+ (error "sh-load-style: Style %s not known" name)
(dolist (var (cdr sl))
(set (car var) (cdr var))))))
diff --git a/lisp/progmodes/sql.el b/lisp/progmodes/sql.el
index 02eccb33012..5dfbf87e452 100644
--- a/lisp/progmodes/sql.el
+++ b/lisp/progmodes/sql.el
@@ -3976,13 +3976,13 @@ for each match."
(cond
((numberp c) (match-string c))
((stringp c) (match-substitute-replacement c))
- (t (error "sql-redirect-value: unknown REGEXP-GROUPS value - %s" c))))
+ (t (error "sql-redirect-value: Unknown REGEXP-GROUPS value - %s" c))))
regexp-groups))
;; String is specified; return replacement string
((stringp regexp-groups)
(match-substitute-replacement regexp-groups))
(t
- (error "sql-redirect-value: unknown REGEXP-GROUPS value - %s"
+ (error "sql-redirect-value: Unknown REGEXP-GROUPS value - %s"
regexp-groups)))
results)))
diff --git a/lisp/progmodes/verilog-mode.el b/lisp/progmodes/verilog-mode.el
index d98230d9a0e..52c34d9fbc6 100644
--- a/lisp/progmodes/verilog-mode.el
+++ b/lisp/progmodes/verilog-mode.el
@@ -87,7 +87,7 @@
;;
;; If you want to customize Verilog mode to fit your needs better,
;; you may add the below lines (the values of the variables presented
-;; here are the defaults). Note also that if you use an Emacs that
+;; here are the defaults). Note also that if you use an Emacs that
;; supports custom, it's probably better to use the custom menu to
;; edit these. If working as a member of a large team these settings
;; should be common across all users (in a site-start file), or set
@@ -4827,7 +4827,7 @@ Limit search to point LIM."
((match-end 1) ; [
(setq colon (1+ colon))
(if (>= colon 0)
- (error "%s: unbalanced [" (verilog-point-text))))
+ (error "%s: Unbalanced [" (verilog-point-text))))
((match-end 2) ; ]
(setq colon (1- colon)))
diff --git a/lisp/progmodes/vhdl-mode.el b/lisp/progmodes/vhdl-mode.el
index fc0d406f73c..3a9185b334f 100644
--- a/lisp/progmodes/vhdl-mode.el
+++ b/lisp/progmodes/vhdl-mode.el
@@ -5917,16 +5917,16 @@ Skip backwards if DIRECTION is negative, skip forward otherwise."
;; Functions to help finding the correct indentation column:
(defun vhdl-first-word (point)
- "If the keyword at POINT is at boi, then return (current-column) at
-that point, else nil."
+ "If the keyword at POINT is at boi, return (current-column) at that point.
+Otherwise return nil."
(save-excursion
(and (goto-char point)
(eq (point) (vhdl-point 'boi))
(current-column))))
(defun vhdl-last-word (point)
- "If the keyword at POINT is at eoi, then return (current-column) at
-that point, else nil."
+ "If keyword at POINT is at eoi, then return (current-column) at that point.
+Otherwise, return nil."
(save-excursion
(and (goto-char point)
(save-excursion (or (eq (progn (forward-sexp) (point))
@@ -6266,13 +6266,11 @@ of an identifier that just happens to contain an \"end\" keyword."
(defconst vhdl-statement-fwd-re
"\\b\\(if\\|for\\|while\\|loop\\)\\b\\([^_]\\|\\'\\)"
- "A regular expression for searching forward that matches all known
-\"statement\" keywords.")
+ "Regexp for searching forward that matches all known \"statement\" keywords.")
(defconst vhdl-statement-bwd-re
"\\b\\(if\\|for\\|while\\|loop\\)\\b[^_]"
- "A regular expression for searching backward that matches all known
-\"statement\" keywords.")
+ "Regexp for searching backward that matches all known \"statement\" keywords.")
(defun vhdl-statement-p (&optional _lim)
"Return t if we are looking at a real \"statement\" keyword.
@@ -6723,8 +6721,9 @@ search, and an argument indicating an interactive call."
vhdl-begin-bwd-re "\\|" vhdl-statement-bwd-re))
(defun vhdl-beginning-of-statement-1 (&optional lim)
- "Move to the start of the current statement, or the previous
-statement if already at the beginning of one."
+ "Move to the start of the current statement.
+If already at the beginning of a statement, move to the start of
+the previous statement instead."
(let ((lim (or lim (point-min)))
(here (point))
(pos (point))
diff --git a/lisp/progmodes/xscheme.el b/lisp/progmodes/xscheme.el
index 1874f2698ae..26ffe33b83e 100644
--- a/lisp/progmodes/xscheme.el
+++ b/lisp/progmodes/xscheme.el
@@ -562,7 +562,7 @@ The strings are concatenated and terminated by a newline."
(defun xscheme-yank (&optional arg)
"Insert the most recent expression at point.
-With just C-U as argument, same but put point in front (and mark at end).
+With just \\[universal-argument] as argument, same but put point in front (and mark at end).
With argument n, reinsert the nth most recently sent expression.
See also the commands \\[xscheme-yank-pop] and \\[xscheme-yank-push]."
(interactive "*P")